summaryrefslogtreecommitdiff
path: root/arch/arm/mach-highbank/Makefile
diff options
context:
space:
mode:
Diffstat (limited to 'arch/arm/mach-highbank/Makefile')
-rw-r--r--arch/arm/mach-highbank/Makefile6
1 files changed, 6 insertions, 0 deletions
diff --git a/arch/arm/mach-highbank/Makefile b/arch/arm/mach-highbank/Makefile
new file mode 100644
index 00000000000..986958a5a72
--- /dev/null
+++ b/arch/arm/mach-highbank/Makefile
@@ -0,0 +1,6 @@
+obj-y := clock.o highbank.o system.o
+obj-$(CONFIG_DEBUG_HIGHBANK_UART) += lluart.o
+obj-$(CONFIG_SMP) += platsmp.o
+obj-$(CONFIG_LOCAL_TIMERS) += localtimer.o
+obj-$(CONFIG_HOTPLUG_CPU) += hotplug.o
+obj-$(CONFIG_PM_SLEEP) += pm.o